GALAXY GALS InterfAce for CompleX Digital SYstem

نویسندگان

  • Milos Krstic
  • Tomek Krol
  • Xin Fan
چکیده

In this report, the possibilities of reducing EMI in GALS systems are investigated and presented. Based on the special software tool for EMI analysis, several different abstract models of GALS circuits have been designed in order to extract a realistic pausable clock behavior. Based on the clock behavior, we have been able to analyze using our tool the current profile of each modeled system, both in frequency and in time domain. The results have been compared with the synchronous counterparts including low EMI solutions. As a result, a reduction up to 25 dB can be achieved when applying a low-EMI GALS methodology in comparison to the synchronous designs. Keyword list: GALS, EMI, current profile

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

A Design Path for Design of GALS Based Communication Systems

The GALS (Globally Asynchronous Locally Synchronous) approach is highly suitable for implementation of communication systems. In this paper we describe an efficient design flow for GALS design based on commercial tools. As design example we have selected a digital FIR filter. It is a nontrivial task to develop an efficient design flow for GALS based systems because of the asynchronous parts. Bo...

متن کامل

Evaluation of GALS Methods in Scaled CMOS Technology: Moonrake Chip Experience

In this paper the authors present the concept and evaluation results of a complex GALS ASIC demonstrator in 40 nm CMOS process. This chip, named Moonrake, compares synchronous and GALS synchronization technology in a homogeneous experimental setting: same baseline designs, same manufacturing process, same die. The chip validates GALS technology for both point-to-point and network-centric on-chi...

متن کامل

System integration by request-driven GALS design

A novel request-driven globally asynchronous locally synchronous (GALS) technique for the system integration of complex digital blocks is proposed. For this new GALS technique, an asynchronous wrapper compliant is developed and evaluated. This proposed GALS technique is applied to a baseband processor compatible with the wireless LAN standard IEEE 802.11a. The developed GALS baseband processor ...

متن کامل

Low Power GALS Interface Implementation with Stretchable Clocking Scheme

Complex SoC imply the seamless integration of numerous IPs performing different functions and operating at different clock frequencies. The integration of several heterogeneous components into a single system gives rise to new challenges. Major issue includes controlling the clock frequencies of the different modules. As chips become faster and larger, designers face significant challenges incl...

متن کامل

GALSification of IEEE 802.11a Baseband Processor

In this paper a Globally Asynchronous Locally Synchronous (GALS) implementation of a complex digital system is discussed. The deployed asynchronous wrappers are based on a novel request-driven technique with embedded time-out function. Each GALS block is fitted with a local clock generator for flushing the internal pipeline stages when there are no incoming requests. This request-driven techniq...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2009